forked from SpinalHDL/SpinalHDL
-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathLibTester
36 lines (31 loc) · 835 Bytes
/
LibTester
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.pkg_scala2hdl.all;
use work.all;
use work.pkg_enum.all;
-- #spinalBegin userLibrary
-- #spinalEnd userLibrary
entity LibTester_tb is
end LibTester_tb;
architecture arch of LibTester_tb is
signal io_inSIntA : signed(15 downto 0);
signal io_inSIntB : signed(15 downto 0);
signal io_outSInt : signed(31 downto 0);
signal io_outSIntRef : signed(31 downto 0);
signal clk : std_logic;
-- #spinalBegin userDeclarations
-- #spinalEnd userDeclarations
begin
-- #spinalBegin userLogics
-- #spinalEnd userLogics
uut : entity work.LibTester
port map (
io_inSIntA => io_inSIntA,
io_inSIntB => io_inSIntB,
io_outSInt => io_outSInt,
io_outSIntRef => io_outSIntRef,
clk => clk
);
end arch;